产品简介
Lam Research PCB 810-810133-002
Lam Research PCB 810-810133-002
产品价格:¥5
上架日期:2024-05-14 09:40:45
产地:欧美
发货地:厦门
供应数量:不限
最少起订:1件
浏览量:55
资料下载:暂无资料下载
其他下载:暂无相关下载
详细说明
     
    Measurement Computing 193797A-01 bbbbb Board PCB Card PCIM-DAS1602/16 Used 1
    IEI PX-14S3-RS-R30 14-Slot PICMG Backplane 015P023-00-300-RS Board PCB Used 1
    Edwards D37215232 iNIM Network Interface Backplane Board PCB D37215235 Used 1
    DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working 1
    DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working 1
    DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working 1
    STEC SEC-4600M Mass Flow Controller MFC SEC-4600 100 SLM H2 Used Working 1
    RKC Instrument REX-B870-CS1 Temperature Controller bbb ACT12 Used Working 1
    RKC Instrument REX-B870-CS1B Temperature Controller bbb ACT12 Used Working 4
    Yamamoto EMD7 D1T0D Manometer 100 Pa Lot of 4 bbb Tokyo Electron ACT12 Used 1
    CKD AMDZ1-X50 Pneumatic Valve Reseller Lot of 4 bbb Tokyo Electron ACT12 Used 1
    CKD AMDZ1-X50 Pneumatic Valve Reseller Lot of 4 bbb Tokyo Electron ACT12 Used 1
    Asyst Shinko Motor Assembly Olympus BJ524S592 DA E140S592 DV217900 VHT5-1-1 Used 1
    Asyst Shinko Motor Assembly Olympus BJ524S001 CE E140 DV217900 VHT5-1-1 Used 1
    Varian E31001230IIS Dry Vacuum Pump TriScroll Franklin 1201006408 Tested As-Is 1
    Hirata AR-W170VCL-4-T-40 4 Axis Dual Arm Wafer Handling Robot Used Working 1
    Mitsubishi RV-E14NHC-SA06 Industrial Robot Set with Controller CR-E356-S06 Used 1
    Mitsubishi HTR Brake Box Industrial Robot RV-E14NHC-SA06 Used Working 1
    Meiden UA024/713A Industrial Controller Computer µPIBOC-I 600Mhz Used Working 1
    Meiden UA024/713A Mitsubishi Controller Computer µPIBOC-I Q80BD-J71BR11 Used 1
    Dolan-Jenner A-241L 150 Watt Regulated Power Supply Fiber-Lite KLA-Tencor Used 1
    Olympus U-AFA11M-KLA Microscope Active Auto Focus with BX-UCB Controller Used 1
    Hitachi 2R007100 SBC Single Board Computer PCB Card LCPU100 2R007104 Used 2
    Takenaka ASW-0012B-1 Communication Module DNS Dainippon Screen FC-3000 Used 1
    SSD Shishido Electrostatic BF-ZB Air Ionizer Cleanroom Blower Used Working 1
    Hitachi HT94219A Interface Relay Card PCB PI01 Ver. F M-712E Used Working 1
    Tokyo Electronics 3KV High Voltage Sensor Module Used Working 3
    AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-70497 Used Working 4
    AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-53481 Used Working 1
    Aerotech MXH100-D-16M/ES13497 High Res Encoder Signal Multiplier Tencor 561975 1
    Electroglas 255423-007 Remote Microscope Control Module 4085X Horizon Used 1
    Ametek 5-7004 AMETEK-RTP Fan with Control PCB Assembly 5-7006 Used Working 1
    Horiba STEC SEC-7350BM Mass Flow Controller 20 SLM N2O SEC-7350 Used Working 2
    Horiba STEC SEC-7350BM Mass Flow Controller 30 LM N2 SEC-7350 Used Working 1
    Horiba STEC SEC-7350BM Mass Flow Controller 10 LM H2 SEC-7350 Used Working 1
    STEC SEC-4500MC-SUC-016 Mass Flow Controller SEC-4500 10 LM H2 Used Working 1
    STEC SEC-4500MC-SUC-016 Mass Flow Controller MFC SEC-4500 10SLM H2 Used Working 1
    STEC SEC-4500MC-SUC Mass Flow Controller MFC SEC-4500 10 LM O2 Used Working 1
    STEC SEC-4500MC-SUC Mass Flow Controller MFC SEC-4500 20 LM O2 Used Working 1
    Aerotech MXH100-D-16M/ES13497 Y Axis Multiplier MXH100-D Tencor 561975 Used 1
    Aerotech MXH100-D-16M/ES13497 Y Axis Multiplier MXH100-D Tencor 561975 Used 1
    Horiba STEC SEC-Z512X Digital Mass Flow Device MFC Z500 1 SLM Ar Used Working 2
    Kokusai Electric D4E01298 Interface Board PCB Module SPCONV2 A/O Used Working 1
    Yaskawa Electric SGMAH-A3BBA2S AC Servo Motor Gear Assembly Used Working 2
    STEC SEC-4550M Mass Flow Controller MFC SEC-4550 20 LM N2O Used Working 3
    Daihen AGA-50B2-V RF Generator Stack DGP-120A2-V bbb 3D80-001479-V1 Used Tested 2
    Daihen AGA-50B2-V RF Generator DGP-120A2-V bbb 3D80-001479-V1 No Wheels Working 1
    Daihen WGA-50E-V RF Generator Stack bbb 3D80-001480-V2 Used Tested Working 2
    Daihen AGA-50B2-V RF Generator Stack DGP-120A2-V bbb 3D80-001479-V2 Used Tested 1
    Daihen RGA-50C-V RF Power Generator bbb 3D39-050099-V4 Used Tested Working 1
    Daihen RGA-50C-V RF Power Generator bbb 3D39-050099-V4 Used Tested Working 1
    KLA-Tencor 0038216-001 MMD Power Unit PCB 0038170-002 AIT Fusion UV Used Working 1
    KLA-Tencor 0038216-001 MMD Power Unit PCB 0038170-002 AIT Fusion UV Used Working 1
    SMC ITV2030-31N2N4 Electro-Pneumatic E/P Regulator 1971080-002 Used Working 2
    Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00178 Used Working 2
    Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00180 Used Working 3
    Melco Technorex EQ-142 Data I/O PCB Module Nikon 4S587-436 Used Working 1
    Parker Veriflo 45600672PA Valve Reseller Lot of 5 SQMICROHF502PUPG6049VESP Used 1
    Tescom 44-2260-242-010 Manual Pressure Regulator Valve Reseller Lot of 3 Used 1
    Copal Electronics PS6-103G-NAM-014 7-Port Pressure Switch Assembly Used Working 1
    Idec PS3E-C24 Compact Power Supply 24VDC Reseller Lot of 6 Used Working 1
    AMAT Applied Materials 0021-85650 300mm SST Shutter Disk SNNF HTESC TiW-ESC New 1
    Edwards NGW415000 Pneumatic Gate Valve Copper Cu Exposed Used Working 1
    Edwards ISO80 High Vacuum Flexible Bellows Stainless iQDP Copper Cu Exposed Used 2
    Edwards ISO80 High Vacuum Flexible Bellows Stainless iQDP Series Used Working 1
    bbb Tokyo Electron 20-Port Pneumatic Manifold 41-60 CKD 4SB019-C3 ACT12 Used 1
    FSI International 294025-400 Interface PCB 264025-200 Reseller Lot of 2 Used 4
    Pilz 774300 Safety Relay DIN Mount PNOZ X1 Reseller Lot of 2 Used Working 1
    bbb Tokyo Electron 3D05-350204-11 300mm Pincette Robot End Effector Used Working 4
    bbb Tokyo Electron 3D05-350204-11 300mm Pincette Robot End Effector Used Working 2
    bbb Tokyo Electron 3D05-350193-11 300mm Pincette Robot End Effector Used Working 1
    bbb Tokyo Electron 3D05-350193-11 300mm Pincette Robot End Effector Used Working 2
    Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Bent Bolt Used Working 1
    CTI-Cryogenics Cold Head Compressor AC Distribution Helix Remote No Cover Used 1
    bbb Tokyo Electron CT2981-600534-11 BOARD.CONN BLT/L CT2908-600534-11 ACT12 Used 26
    Mitsubishi FR-E520-0.75KN Inverter FREQROL-E500 Reseller Lot of 2 Used Working 1
    Peak Optics 97844708 Microscope Reticle Measurement 1 DIV 0.005 100X PS44 Used 1
    Kuroda SPCBUA2-20-40-ZV Wafer Robot bbb Tokyo Electron 3D80-000008-V4 As-Is 1
    Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. 2.2 Used 1
    Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. 2.2 Used 2
    Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. C Used 1
    CKD N4E0 Series 16-Port Manifold bbb Tokyo Electron Lithius Used Working 2
    Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working 2
    Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working 3
    Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working 4
    Berkeley Process Control USA4-11-28-UR Multi-Axis Universal Servo Amplifier Used 2
    Berkeley Process Control USA2-22-35 Multi-Axis Universal Servo Amplifier Used 1
    Banner MUSC-1 Multi-Screen Light Curtain Control Box with 41206 Used Working 2
    Banner MSCA-1 Multi-Screen Light Curtain Control Box with 41421 Used Working 1
    Oriental Motor PK543BW1-H50 5-Phase Stepping Motor VEXTA Used Working 1
    CKD AMD11-X1491 Valve Reseller Lot of 6 bbb Tokyo Electron ACT12 Used Working 1
    Koganei F-AVP125-19W Pneumatic Valve Lot of 10 bbb Lithius Used Working 6
    Koganei F-AVP070-6W Pneumatic Valve Reseller Lot of 8 bbb Lithius Used 2
    CKD AMD312-10BUR-14-TC Pneumatic Valve Photoresist Lot of 10 bbb ACT12 Used 1
    Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 10 Used Working 12
    Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 10 Used Working 1
    V-Tex 3D80-001812-V1 Door Slit Valve Rollcam bbb Tokyo Electron Used Working 1
    Baldor BSM80A-375BA Brushless AC Servo Motor S2P117W009G1 Used Working 5
    Gerwah AKN 60 bbbbl Bellows Coupling Gearhead Reseller Lot of 4 Used Working 1
    Baldor BSM80A-375BA Brushless AC Servo Motor with AKN 60 AMAT 0040-49320 Used 3
    Hitachi MP090 Wafer Alignment Unit Motor Assembly RM2424D E6B2-CWZ6C M-712E Used 2
    Hitachi MP090 Wafer Alignment Unit Motor Assembly RM2424D E6B2-CWZ6C M-712E Used 1
    HVA High Vacuum Apparatus 11211-1003R Gate Valve Used Working 1
    Hitachi HT98311 Interface Connector Board PCB BD11 M-712E Used Working 3
    NEC FC-S16W/SB4V6A Industrial Desktop Computer FC98-NX FC-S16W with MOR/2VD Used 1
    Shimadzu EI-D3203M Turbomolecular Pump Controller 1.2K TMP Used Working 1
    Novellus 61-294221-00 Rev. A Spindle Yaskawa YSC-02D04B021 Used Unlisted 1
    Hitachi ILE-02 Relay Switching Board PCB Card M-712E Shallow Trench Etcher Used 3
    Hitachi AIO-02N Analog I/O PCB Card M-712E Shallow Trench Etcher Used Working 6
    Hitachi DIO-01N Digital I/O Board PCB Card M-712E Shallow Trench Etcher Used 6
    Jobin Yvon H-10 VIS Monochromator DA-40 Tokyo Electron Unity II Cut Cable Used 1
    Nikon 4S008-035 Lamp Control Module with Interface Cables NSR Series Used 1
    Square D EE30T3HF Sorgel Three Phase General Purpose Transbbbber 30kVA Used 1
    Varian Semiconductor Equipment E11470670 Power Supply Interface Used Working 1
    Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working 1
    Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working 2
    Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working 2
    Festo 200763 Megasonic PS Control Box 300H AMAT 0090-04970 Used Working 1
    Kaijo 68101-A3T-UL Ultrasonic Generator HI MEGASONIC 600 Used Working 4
    KoMiCo Technology 633000138 CU 3 Port 5 Hole Mist Trap Copper Exposed Used 1
    Kuroda SPCBUA2-20-40-ZV Wafer Robot bbb 3D80-000008-V4 No End Effector Used 1
    Kuroda SPCBUA2-20-16-ZV Wafer Robot bbb 3D80-000009-V4 No End Effector Used 1
    Kuroda SPCBUA2-20-16-ZV Wafer Robot bbb 3D80-000009-V4 No End Effector Used 1
    MRC Materials Research Plenum Wafer Chuck Mosier S-23503-1 Eclipse Star Used 1
    Asyst Technologies 810-2850-A PWM Motor Driver Board PCB Hine Design Used 1
    SMC 8-Port Pneumatic Manifold Lot of 2 bbb Tokyo Electron 3D80-001913-11 Used 2
    NSK ELC-JG014VF3-01 300mm XP Robot Controller ELC AMAT 0190-19536 Used Working 3
    SensArray 05-3613_SA Field Replaceable Battery Pair 39-3613 KLA-Tencor Used 2
    Pacific Scientific High Yield Technology 20B Particle Sensor 10-10350-00 X1 Used 2
    MKS Instruments 51A11TGA2BA003 Baratron Pressure Switch Type 51A Used Working 3
    MKS Instruments 41A13DGA2AA040 Baratron Pressure Switch Type 41A Used Working 3
    MKS Instruments 41A13DGA2AA040 Baratron Pressure Switch Type 41A Used Working 4
    MKS Instruments 722A12TCD2FA Absolute Pressure Transducer Type 722A Used Working 1
    CKD FGL11-X0029 High Vacuum Break Leak Valve Used Working 4
    Regal Joint FS-10S Flow Sensor FS-10 Reseller Lot of 2 Used Working 1
    Heidenhain RON 806 36000 54S09-2S Incremental Angle Encoder 355 885-17 Used 1
    Swagelok SS-4BMRG Bellows Sealed Metering Valve NUPRO Used Working 1
    Swagelok SS-4BMG Metering Bellows Sealed Valve NUPRO Used Working 2
    Swagelok SS-4BMRG-V16 Metering Bellows Sealed Valve NUPRO Used Working 1
    Jennings RGH5-26S High Voltage SPDT Gas Relay AMAT 9150-02974 Used Working 4
    bbb Tokyo Electron Prealigner Loader Module T-3044SS Used Working 1
    Rudolph Technologies A19796ART0308 UV Optics Assembly A19796 A15032 Used Working 1
    Fujikin Incorporated WVG-SD-O1Z1C2A Water Vapor Generator Used Working 1
    V-Tex 3D80-001812-V1 Door Slit Valve Rollcam bbb Tokyo Electron No Gate Used 1
    Varian 1243-L6281-301 Pneumatic Angle Valve NW16 A/O Used Working 1
    Varian 1243-L6281-302 Pneumatic Angle Valve NW25 A/O Used Working 2
    MKS Instruments 51A11TCA2BA005 Baratron Pressure Switch Type 51A Used Working 1
    MKS Instruments 722A12TCE2FA Baratron Pressure Type 722A Transducer Used Working 1
    RECIF Technologies IDLW8/H9090 PCB Board with Symbol STI80-0200 Cable Used 1
    Kromschroder 84367720 Automatic Burner Control IFS 110IMT-10/2/2N Used Working 2
    PULS SL2.100 DIN Rail 24.5 VDC Power Supply SL 2.5 Reseller Lot of 2 Used 1
    Kawasaki C60C-A001 Robot Controller Nikon 4S082-666-2 4S211-553-2 NSR Used 1
    Hitachi ZVL808-H Driver Interface Board PCB Card ZVL808 Used Working 5
    Hitachi ZVL808-J Driver Interface Board PCB Card ZVL808 Used Working 5
    Hitachi ZVL897-4 Processor Control Board PCB Card OFV-DTCT ZVL897 Used Working 2
    KEL VMEbus BACKPANEL J1 09 Backplane PCB Board Used Working 2
    Hermos TLG-I1-1000-S0-00EB Transponder Reader with Sensor ANT-ID2-INFIXR6 Used 1
    Hermos THG-S1-1000-S0-00EB Transponder Reader with Sensor ANT-AXC-IR6 Used 1
    Brooks Automation ANT-ID2-INFIXR6 Fixload Antenna Hermos Reseller Lot of 4 Used 1
    AMAT Applied Materials DTCU Dome Temperature Control Unit Fan Module Assembly 1
    Panasonic MSDA021A1A AC Servo Driver MINAS A-series  Used Working 2
    Cosel P30E-12 Compact Power Supply 12V 2.5A Reseller Lot of 4 Used Working 1
    Cosel P150E-5 Compact Power Supply 5V 30A Reseller Lot of 2 Used Working 1
    CAL Controls 3200 DIN Economy Temperature Controller Reseller Lot of 3 Used 2
    Kromschroder 84391075 Ignition Transbbbber TGI 5-15/100R TZI 5-15/100R Used 2
    Kromschroder 84391030 Ignition Transbbbber TGI 7,5-20/33R TZI 7,5-20/33R Used 1
    Kromschroder 84391030 Ignition Transbbbber TGI 7,5-20/33R TZI 7,5-20/33R Used 1
    Kromschroder 84391030 Ignition Transbbbber TGI 7,5-20/33R TZI 7,5-20/33R Used 1
    Automation Direct D2-09B-1 9-Port PLC Controller Direct Logic 205 Koyo Used 1
    Fuji PS-1105U Momentary Line Drop Protector PS-1105 Hitachi M-712E Used Working 1
    Hitachi Operator Interface Panel Keyboard and Monitor Set M-712E Used Working 2
    Bird 4391 Dual Element RF Power Analyst 2-30MHz 500H and 1000H Used Working 1
    Brooks Automation 119215 Series 8 Robot Controller Used Working 1
    Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used 1
    Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used 1
    Pioneer Magnetics 123621 Power Supply PM1203A 84-012-001 Used Working 1
    MKS Instruments 127AA-000.1B--S Baratron Pressure Transducer Type 127 Used 1
    MECS UTV430 Wafer Handling Robot Used Working 1
    Kawasaki 50979-2389LA1 EXT-EMG Robot Cable AMAT 0190-16260 Used Working 1
    Kawasaki 50979-2388LA1 Panel Robot Cable AMAT 0190-16259 Used Working 1
    Kawasaki 50976-2143L01 Robot Cable 7.5 Foot Used Working 1
    Tegal CR1324-00400 RF Match Network Source Strip 6500 HRe Used Working 1
    Tegal CC1327-00300 EZ Reactor Assembly HRE-PM1 6500 HRe Used Working 1
    Tegal 37-441-004 Cooling Flange 6500 HRe Dual Frequency Etch System Used Working 1
    VAT 14046-PE44-1016 HV High Vacuum Gate Valve Tegal 6500 HRe Used Working 1
    Edwards 1850 Mechanical Vacuum Throttle Valve ISO200 Tegal 6500 Used Working 1
    MKS Instruments Straight Nipple Adapter LF200 ISO-K NW250 Large Flange HPS Used 2
    Edwards W185-08-001 Mechanical Vacuum Throttle Valve 1850 ISO200 Tegal 6500 Used 1
    Hamamatsu C11121CA-50 UV/VIS Spectrometer TM-UV/VIS Type III Hitachi M-712E Used 2
    AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working 1
    AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working 1
    Ocean Optics USB4000 Spectrometer Slit 25µm Hitachi M-712E EC1 Used Working 1
    Jobin Yvon H-1061 UV-V Monochromator E Chamber EPD Hitachi M-712E Used Working 2
    Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-712E Etcher Used 1
    Hitachi PTPA-01 ECI Photo Board PCB Assembly M-712E Shallow Trench Etcher Used 2
    Hitachi CR-712T-AC Wafer Transfer Clean Robot Shunan Works M-712E Etcher As-Is 1
    AMAT Applied Materials 0040-86239 Housing 300mm Titan New Surplus 1
    AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working 1
    Hitachi UHF-121 EC2 RF Power Supply Cooling Water Control Panel M-712E Used 1
    Kyoto Denkiki KDS-30350WFX Dual Output DC Power Supply Hitachi 3-A20515-*A Used 10
    Kyoto Denkiki KDS-30350SFX DC Power Supply Hitachi 3-A20515-*B MU-712E New 1
    PTI Progressive Technologies 12612G01 Automated Exhaust System Sentry 1000 Used 2
    Electronic Solutions V809J1EC V809 VME J1 Backplane Board PCB 01-0009293 Used 1
    Tegal 98-363-00 VME Backplane Board PCB CTC-ML Used Working 1
    IPD International Power Devices RWD2415 DC/DC Converter Used Working 1
    Tegal 98-354-002 CPU Transition Board VME PCB Card Used Working 1
    Tegal 99-360-001 Processor Board VME PCB Card AOB-1 98-360-001 Used Working 1
    Qualidyne 234AA0A-0172 Power Supply 23092EFG Tegal VME-PS400-01 Used Working 1
    Motorola 5057301 LE Tester Board PCB Used Working 1
    Pittman GM8223D098 38.2V Servo Motor LO-COG Reseller Lot of 2 Used Working 1
    Brooks Automation 013077-054-20 300mm Load Port FIXLOAD 25 Used Working 2
    Lam Research E4A N2 Purge Unit Horiba SEC-N142MGR for TDK TAS300 Type E4/E4A New 2
    bbbeFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working 3
    bbbeFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working 5
    SMC ZSE30-01-25-M kPa Pressure Switch Reseller Lot of 32 Used Working 1
    Kensington 15-3600-0300-01 Wafer Prealigner PRE-OA2 AMAT 0190-16360 Endura Used 1
    Newport 35-3700-1425-18 Wafer Transfer Robot AMAT Applied Materials 300mm Endura 1
    Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT Applied Materials Endura 1
    Kensington 8-4029-03 Robot Waist Interface Cable 3 Foot 1M Newport Used Working 1
    Kensington 8-4030-03 Robot Arm Interface Cable 3 Foot 1M Newport Used Working 1
    Kensington 8-1013-07 Robot Z-Axis Interface Cable 3 Foot 1M Newport Used Working 1
    Kensington 8-1013-00 Robot Z-Axis Interface Cable 8 Foot 2.4M Newport Used 1
    Kensington 8-4030-00 Robot Arm Interface Cable 8 Foot 2.4M Newport Used Working 1
    Kensington 8-4029-00 Robot Waist Interface Cable 8 Foot 2.4M Newport Used 1
    Asyst Technologies 06763-005 48V Control Board PCB GaSonics ABX-79582-28-00 Used 1
    Asyst Technologies 06763-005 48V Control Board PCB GaSonics ABX-88919-41-11 Used 1
    Brooks Automation E20000580 Transponder Reader with Sensor E20000581 Used 2
    Brooks Automation E20000580 Transponder Reader with Sensor E20000581 Used 1
    Asyst 9700-9129-01 Wafer Load Port IsoPort Nikon KAB11310/201-3 Incomplete As-Is 1
    Panasonic MADDT1207N52 AC Servo Motor Driver Used Working 8
    Panasonic MCDDT3520N52 AC Servo Motor Driver Used Working 4
    Panasonic MQMA022S2G AC Servo Motor with Sumitomo Gear Head FCMS-A15-SV-59 Used 2
    Panasonic MQMA022S2C AC Servo Motor HD Gear Head CP-25A-33-J299A-SP Used Working 4
    Panasonic MSMD082S1S AC Servo Motor Nidec-Shimpo Gear Reducer VRSF-4C-750 Used 4
    Sunx S-bbbb V Sensor Set Lot of 4 SL-VT8E SL-VTP8E Panasonic SL-VEU Used Working 1
    Globe Motors 403A918 LP Shuttle Motor Brooks 129399 Reseller Lot of 2 Used 1
    Brooks Automation 162770-01 300mm Wafer Load Port VISION Untested As-Is 1
    Brooks Automation 162770-01 300mm Wafer Load Port VISION Untested As-Is 2
    Edwards NRC970000 TPU Used Working Unlisted 1
    Edwards Y50100000000 iATLAS New Unlisted 2
    bbb Tokyo Electron AHV50 Cooling Plate 3D10-150035-V1 working 1
    SBS Technologies 9000-32-029 SBC PCB Card AMAT Applied Materials Endura Used 1
    AceCo Y203 Upper Electrode S33-1574Y new 3
    AceCo Depo Shield Y203 S33-1862Y new 1
    bbb Tokyo Electron ES3D10-202163-V1 300mm Depo Shield Refurbished 2
    TDK TAS-IN8 Interface Board PCB TAS300 Used Working 1
    TDK TAS-CNEXT Interface Board PCB TAS300 F1 Used Working 1
    bbb Upper Body Electrode 3D10-100293-V1 new 2
    bbb Depo Shutter Assy. Y-AL 3D10-101277-V2 new 1
    bbb Depo Shutter Assy. Y-AL 3D10-101277-V2 new 1
    Ebara ET600WS Turbo-Molecular Pump Used Tested Working 3
    Hitachi Microwave Calibration Jig 2-A06112-^A new 2
    Brooks Automation 134333 Sensor and LED IV Board PCB Rev. B Used Working 3
    Hitachi Microwave Calibration Jig 2-A06112-^B new 4
    TDK TAS-IN12 Interface Board PCB TAS300 F1 Used Working 1
    Brooks Automation 173227 Interface Board PCB 140360 Vision LPM Load Port Spare 2
    Condor 02-32117-0001 Power Supply GPC80P Rev. D 017-21226 Used Working 2
    Cherokee International QT4A1 Power Supply Used Working 3
    Lenze E94S040S1N Servo Drive 94 SimpleServo 1.20 Used Working 1
    Opto 22 PB32P2 Relay Board PCB IDC5Q 8 MRC Materials Research Eclipse Star Used 4
    bbb Tokyo Electron G260610 Lithius Cot Cabinet Used Working Unlisted 1
    ECOSYS 203-10428-02 Guardian GS4 Used Working Unlisted 1
    bbb Tokyo Electron 1000071322 Dual Block Used Working Unlisted 1
    Nikon Temperature Control TC Rack N6F-A NSR-S307E DUV Scanning System Used 1
    Nikon N6F-A Temperature Control TC Rack NSR-S307E DUV Scanning System (2) Used 1
    Nikon S37 TC Rack Asahi Cogyosha Co. N6A-A DUV Scanning System Used Working 1
    Kawasaki 30C61E-B003 Robot Controller AMAT 0190-17941 As-Is Unlisted 1
    Brooks Automation 017-0483-01CUR Wafer Handling Robot Used Unlisted 1
    Brooks Automation 003-1600-43  Magnatran 7 Wafer Handling Robot Used Working 1
    Thermo Neslab 622023991801 Heat Exchanger DIMAX Used Unlisted 1
    Chromasens LC16-WBI-BF Machine Vision Module CC00620 KLA-Tencor WBI 300 Used 2
    Chromasens CC-WBI-SV1 Machine Vision Module CC00596 KLA-Tencor WBI 300 Used 4
    KLA-Tencor 11 301 404 123 000 WBI Controller Sensors Actuators CSA Unit Used 4
    Chromasens CC00620 Machine Vision Set of 4 LC16-WBI-BF LC16-WBI-DF KLA WBI Used 3
    TDK-Lambda V6098VF Power Supply Vega 650 Four Module H5_4 B5 B5 C5 Used Working 4
    Oriental Motor ASM66AK Stepper Motor VEXTA Used Working 8
    Colandis 12626 FFU Fan Filter Unit KLA-Tencor 11301406028000 WEI/WBI Used 4
    Terra 1300062 Desktop Computer KLA-Tencor 11301400403000 Controller WBI 300 Used 2
    bbb Tokyo Electron PTI Controller 1 Automated Exhaust System Set ACT12 Used 1
    bbb Tokyo Electron PTI Controller 2 Automated Exhaust System Set ACT12 Used 1
    Leutron Vision PicPortX CL Stereo PicPort Camera bbbb Grabber PCB Card Used 2
    Simco 4010729 Ionizing Bar Controller VISION i Used Working 1
    Copley Controls ACJ-090-09 Micro Panel Servo Drive Accelnet Used Working 4
    Schmersal AZM 170-11zrk Safety Switch Reseller Lot of 2 Used Working 1
    Asyst Technologies 9700-9129-01 300mm Wafer Load Port Copper Cu Exposed Used 1
    Asyst Technologies 9700-9129-01 300mm Wafer Load Port Copper Cu Exposed Used 1
    Asyst Technologies 9700-9129-01 300mm Wafer Load Port Used Working 1
    Asyst Technologies 9701-1059-02A Load Port PCB IsoPort Used Working 1
    Teknic SST-1500-102 Servo Drive SST ServoStepper Delta Design 2100841 Used 1
    Richmond Technology 4007440 IBC Inbbbligent Balance Controller IBC20-1 Used 1
    Delta Design 1944602-501 PSM Power Summing Module Used Working 1
    National Instruments 745749-01 Controller PXI-1002 Delta 1923725001 Used Working 1
    Delta Design 1973060901 Summit Handler ATC Control Computer Copley CME 2 Used 1
    Chromasens 940 992 Camera Board PCB Assembly SC-KA5-1/Z KLA-Tencor WBI 300 Used 4
    Oriental Motor ASD36A-K Closed Loop Driver ASD-K AlphaStep Used Working 8
    Chromasens CC-WBI-SA2 Interface PCB CC00228 KLA-Tencor WBI 300 Used Working 4
    Chromasens CC-WBI-LV1 Interface PCB CC00581 KLA-Tencor WBI 300 Used Working 3
    Hitachi 300mm Wafer Handling Ceramic End Effector 1-5 Set of 5 M-712E Used 3
    Hitachi Ceramic End Effectors 1-5 300mm Wafer Set of 5 M-712E Used Working 1
    Bede BedeMetrix Diffractometer Goniometer 300mm Sample Stage Assembly As-Is 1
    Control Concepts 1014R-05 SCR Power Controller with Cable Set Used Working 1
    Baldor 92B645730000 Motor with Camco Indexer 80RDM4H20-330 Gear D99XX5164 Used 1
    Power-One HBAA-40W-A Power Supply Delta Design 1947972-001 Summit ATC Used 1
    NSK EMLZ10CF1-03 Servo Drive Motion Controller Used Working 1
    Kollmorgen D101B-93-1210-014 PM Servomotor DDR AMAT Reflexion Spindle Motor Used 1
    Kollmorgen D101A-93-1215-001 PM Servomotor DDR AMAT Reflexion Dent to Rim Used 1
    Kollmorgen D101A-93-1215-001 PM Servomotor DDR AMAT Reflexion Discoloration Used 2
    Tegal CE1323-10101 AC Distribution Unit 6500 HRe Dual Frequency Plasma Etch Used 1
    Novellus Systems 16-270993-00 300mm Wafer Chuck Sabre PEM Copper Cu Exposed Used 1
    CTI-Cryogenics Cryopump Helium Line 5' Set Supply 8081617 Return 8081-271 Used 1
    AMAT Applied Materials 0620-00516 RF Cable Top Generator to Match 150 Foot Used 1
    MDC Vacuum Products 310073 Pneumatic Angle Valve KAV-100 Used Working 1
    MKS Instruments 627A-14583 Baratron Pressure Transducer Type 627 Used Working 1
    Sanken Electric MLT-DCBOX5 Power Supply Assembly MMB50U-6 bbb Unity II Used 1
    Yaskawa VS2B Robot & Controller Set DDMQF-SR2232IR w/Effector bbb Unity II Used 1
    Yaskawa V0SH Prealigner Controller Set DDMQF-SR2231I PAC/ELC2 bbb Unity II Used 1
    Oriental Motor 4054-LD4 5-Phase Servo Driver VEXTA PUSH PIN bbb Unity II Used 2
    Tylan FC-2979MEP5 MFC Mass Flow Controller 100 SCCM CF4 bbb Unity II Used 2
    Tylan General FC-2979MEP5 MFC Mass Flow Controller 1 SLPM Ar bbb Unity II Used 2
    Tylan General FC-2979MEP5 MFC Mass Flow Controller 20 SCCM O2 bbb Unity II Used 2
    Tylan FC-2979MEP5 MFC Mass Flow Controller 200 SCCM SF6 bbb Unity II Used 2
    Tylan FC-2979MEP5 MFC Mass Flow Controller 50 SCCM CH2F2 bbb Unity II Used 2
    Tylan FC-2979MEP5 MFC Mass Flow Controller 30 SCCM C4F8 bbb Unity II Used 2
    Yaskawa Electric DR1-08AC Servo Drive N00A SERVOPACK bbb Unity II Used Working 2
    Yaskawa Electric YMW-2034 Servo Drive 4178-13 SERVOPACK bbb Unity II Used Working 2
    Granville-Phillips 330001 Ionization Gauge Controller with Cable 9331 bbb Used 2
    Sunx SF1-SC Fail-Safe Control Unit bbb Tokyo Electron Unity II Used Working 2
    bbb Tokyo Electron ID86-004327-13 Floppy Drive Assembly TEB404-12/FDX Unity II 1
    Oriental Motor B1583-D51KBLM Servo Motor VEXTA with 5GD20K-H1 bbb Unity II Used 2
    MWD-25LD ENI MWD-25LD-02 RF Match and Controller Set RFC-6-01 bbb Unity II Used 1
    VAT 26332-KA71-1001 Angle Isolation Valve with Sensor bbb 1D86-003796-12 Used 1
    VAT 26332-KA71-1001 Pneumatic Angle Valve bbb Tokyo Electron Unity II Used 1
    VAT 26332-KA11-1001 Pneumatic Angle Valve bbb Tokyo Electron Unity II Used 2
    Irie Koken 1SV25M0 Manual Angle Valve NW25 bbb Tokyo Electron Unity II Used 2
    Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch bbb ID86-003510-15 Used 2
    MKS Instruments 622A12TBE Baratron Transducer 100 Pa/133.32 bbb Unity II Used 3
    MKS Instruments 622A12TBE Baratron Transducer 100 Pa/133.32 bbb Unity II Used 1
    MKS Instruments 627A-13614----S Baratron Pressure Transducer bbb Unity II Used 1
    STEC SV-P1102 Pneumatic Flow Controller SV-P1000 bbb Unity II Used Working 1
    STEC SV-P1203 Pneumatic Flow Controller SV-P1000 bbb Unity II Used Working 4
    bbb Tokyo Electron 1D81-000118 Control Panel PCB with Cable Set Unity II Used 1
    bbb Tokyo Electron System Maintenance Operator Panel Touch Screen Unity II Used 1
    VAT 26332-KA71-1001 Angle Isolation Valve with Sensor bbb 1D86-003558-16 Used 1
    Nagano Keiki CE10 Electronic Pressure Switch bbb ID86-004116-13 Unity II Used 1
    Nagano Keiki CE10 Electronic Pressure Switch bbb ID86-004117-13 Unity II Used 1
    Ojiden OFL-1V-S3 Switch Set of 2 ID86-014729-11 ID86-014730-11 bbb Unity II Used 1
    bbb Tokyo Electron CSENS2 Laser Sensor Assembly Omron E3X-A11 Unity II Used 1
    bbb Tokyo Electron CSENS1 Laser Sensor Assembly Omron E3X-A11 Unity II Used 1
    bbb Tokyo Electron CSENS1 Laser Sensor LDH-03-3050 MLXS-D12-670-3 Unity II Used 1
    bbb Tokyo Electron WFCNT2 Laser Sensor LDH-03-3051 MLXS-D12-670-3 Unity II Used 1
    bbb Tokyo Electron SOLV. A 14-Port CKD Pneumatic Manifold U2-855DD Unity II Used 2
    bbb Tokyo Electron SOLV. B 4-Port CKD Pneumatic Manifold U2-855DD Unity II Used 2
    bbb Tokyo Electron SOLV. C 4-Port CKD Pneumatic Manifold U2-855DD Unity II Used 2
    Cosel MMB50U-6 Power Supply bbb Tokyo Electron U2-855DD Unity II Used Working 1
    AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 001 Used Working 1
    Kromschroder 84391075 Ignition Transbbbber TGI 5-15/100R 8 433 138 2 Used 2
    Kromschroder 84391075 Ignition Transbbbber TGI 5-15/100R 8 433 138 2 Used 5
    Kromschroder 84391075 Ignition Transbbbber TGI 5-15/100R 8 433 138 2 Used 7
    Edwards TPU Differential Pressure Switch Assembly Dungs AA-A2-6-5 Lot of 4 Used 1
    Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used 4
    Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used 2
    Watlow WX6E2A300B0002 Aluminum Circulation Heater 120v 1500w Edwards TPU Used 2
    Evans PWR-24PS-16UW-12UW Manifold with Gauges Edwards NRYOWP543 TPU Used Working 1
    Norgren SPGB/35085/1 Pneumatic Manifold E28705037 Edwards TPU Used Working 2
    Edwards Flexible Bellows Vacuum Hose 8.5" NW40 KF40 Reseller Lot of 4 TPU Used 1
    National Display Systems 90X0212-B Touchscreen Monitor CM-X15/AMRMS Used Working 1
    DIP DIP-394-055 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used 1
    DIP DIP-344-040 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used 1
    SBS CPCI-100-BP-S00002B PCB Card AMAT 0190-07848 Dual 0190-12159 Rev. 004 Used 1
    SBS CPCI-100-BP-S00002B PCB Card AMAT 0190-07848 Dual 0190-12159 Rev. 004 Used 1
    SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used 1
    SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used 1
    TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used 1
    TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used 1
    AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working 1
    AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working 1
    Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG TPU Used 3
    Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG TPU Used 3
    Chromasens LC16-WBI-DF Machine Vision Module CC00620 KLA-Tencor WBI 300 Used 1
    Fuji Seiki QF100 High Vacuum Band Style Clamp NW100 ISO-LF Turbo Pump Used 1
    bbb Tokyo Electron 2910-205212-11 Coat Wafer Spin Chuck 12" ACT12 300mm Used 2
    Tegal 99-395-002 CIP-2 CTC Board PCB Card 98-395-001 Used Working 1
    Texas Microsystems 24652 SBC Single Board Computer LC486 PCB Card PCA L486 Used 1
    Ametek 038936 Air Blower Assembly DR101BX9M Alcon UACD8 Edwards TPU Used 3
    Nikuni 25CLX15U5 MLTC Centrifugal Pump 25CLX15U5 Motor Nikon NSR-S205C Used 1
    Hitachi Kokusai TZBCXL-00034A Wafer Cassette Handling Robot 300mm DD-1203V Used 1
    Oriental Motor A4753-9215KE 5-Phase Stepping Motor VEXTA Used Working 1
    Panasonic MSM5AZP1E Compact Servo Motor MSM Series Used Working 1
    Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A Used Working 1
    Pearl Kogyo ZDK-916L2C-P Tuner Controller Hitachi M-712E Etcher Used Working 1
    Pearl Kogyo ZDK-916L2 Tuner Controller Hitachi MU-712E Missing Switch Used 1
    Daihen CMC-10A Automatic Microwave Tuning Control Unit CMC-10 Used Working 1
    Daihen CMC-10A Automatic Microwave Tuning Control Unit CMC-10 Untested As-Is 1
    Daihen CMC-ADP2 Microwave Tuning Control Interface Reseller Lot of 2 Used 1
    Nihon Koshuha RF/TUNE CONT-2 RF Tuner Controller Hitachi M-712E Used Working 1
    Nihon Koshuha RF/TUNE CONT-2 RF Tuner Controller Hitachi M-712E Used Working 1
    Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher Used Working 1
    Nikon 4S082-948-1 Pneumatic Valve Operation Control Module NSR Used Working 1
    NFR Technologies NL05S400KT-01X High Voltage Power Supply Used Working 2
    NFR Technologies NL05S400KT-01X High Voltage Power Supply Used Working 2
    Asyst SMART PLUS 3.00.0.1.2 Axys 21 Robot Controller Computer PC Used Working 1
    AMAT Applied Materials 9010-01378ITL Celerity BF3 MFC Gas Panel Assembly Used 1
    AMAT Applied Materials 0020-48303 300mm Cleancoat Lower Shield Cu Refurbished 1
    AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC New Surplus 1
    Hitachi M-712E Wafer Transfer Robot Reseller Lot of 3 Sanki Untested As-Is 1
    Sanki Technos CR-712T-A Wafer Transfer Clean Robot w/End Effector Hitachi Used 1
    Sanki Technos CR-712T-A Wafer Transfer Clean Robot No End Effector Hitachi As-Is 1
    Yaskawa XU-RCM2500T-4 Robot w/Ceramic Arms Nikon KAB11320/201A-4 OPTISTATION 7 3
    Yaskawa XU-RCM2500T-4 Robot Nikon KAB11320/201A-4 No Arms OPTISTATION 7 Used 1
    Bede Scientific Instruments MSOURCE Type A Microsource Assembly Untested As-Is 1
    KLA-Tencor 0024476-001 Polarized Lens Pneumatic Linear Stage Rev. AA AIT Used 1
    bbb Ring Insulator A7 (HF1.0) 1D05-300185-W2 new 1
    KLA-Tencor 0024482-000 Dual Lens Assembly 0020209-000 0020210-000 AIT UV Used 1
    Kokusai Electric Upper/Under Flange Temperature Controller J1-J6 DD-1203V Used 1
    AMAT Applied Materials 0240-95833ITL Focus Clamping Box 0090-91422ITL As-Is 1
    TBK RH700C Robot Hand Controller for RH707 Gripper Robot Used Working 1
    Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Used Working 1
    Kuroda SPCBUA2-20-40-ZV Wafer Robot Body bbb 3D80-000008-V4 T-3044SS Used 4
    Kuroda SPCBUA2-20-16-ZV Wafer Robot Body bbb 3D80-000009-V4 T-3044SS Used 2
    A to Z Electronics 3061420000 S2DIO Module SDI 30614210000 Used Working 1
    System Sacom KS10PTHS P-Panel Data Converter Unit XRC KS-10PT HS Used Working 3
    Therma-Wave 14-009901 Laser Detector Sensor PCB Module I MOD Used Working 1
    Therma-Wave 14-009903 Laser Detector Sensor PCB Module R MOD Used Working 1
    Therma-Wave 14-007811 A/F Detector Amplifier PCB Module 18-007564 Used Working 1
    Therma-Wave 18-007561 TW Laser Detector Assembly PCB Module 14-00 Used Working 1
    Therma-Wave 18-007560 Laser Detector Sensor PCB Module 14-007716 IHeNe Used 1
    Therma-Wave 18-008113 Motorized Lens Turret Assembly Rev. A Used Working 1
    MEC Tech Process Ring 30512-1001R new 2
    MKS Technology AX7671-85 ASTex ASTRONi 0190-47768 Tested Working Unlisted 1
    CTI-Cryogenics 8116030G001 On-Board 8F Cryopump 0190-76014 Tested Working Unlisted 1
    CTI-Cryogenics 8116143G001 On-Board 8F Cryopump 0190-13371 Tested Working Unlisted 1
    CTI-Cryogenics 0190-19390 On-Board 8F Cryopump Tested Working Unlisted 1
    Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-079-1 NSR System Used Working 3
    Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-081-1 NSR System Used Working 1
    Therma-Wave 18-008925 Heater Power Supply Assembly Used Working 1
    Edwards Helios Head Assembly Long Body Combustion Chamber Used Working 2
    Hitachi Kokusai Electric QLM1-00159 Quick Load Box Pod Opener Controller Used 1
    Hitachi Kokusai Electric QLM1-00193 Quick Load Box Pod Opener Controller Used 1
    M.E.C. Tech RE2093 Process Plate Assembly Rev. 2 New 2
    Hitachi Kokusai Electric QLM1-00170 Quick Load Box Pod Opener Controller Used 1
    Granville-Phillips 275806-EU 275 Mini-Convectron Vacuum Gauge Rev. 00 Used Working 1
    Pioneer Magnetics 114357 Power Supply PM 2972A-2-3 Lam 680-0146-029 Used Working 15
    FMI 930V3 Electrostatic Chuck 300mm Monopolar Ceramic bbb-930V3-R Cu Refurbished 1
    Nikon 4S586-952-1 Switch Box WY_LSW WYL NSR System Used Working 1
    Shinko Electric ATA-IDE/R(IDEV2.0) PCB Card MASTER-ATA IDE bbb T-3044SS Used 1
    Veeco 460-548 Profilometer Interferometer Camera Head Assembly WYKO Dektak Used 1
    Brooks Automation 002-7391-38 Wafer Prealigner CHE Untested As-Is 1
    bbb Shield Depo D3.0 P34.6 ES3D10-101276-V1 refurb 1
    Meiden IZ47Z-11 SBC Single Board Computer PCB Card IZ48Z SU22A31929 Used Working 1
    Edwards D37212202 Flash Control Module PCB im Interface 801-1047-01 Used Working 1
    Meiden JZ85Z-11 Serial Data Acquisition Board PCB Card NOP OM-2 SU18A30127 Used 1
    Meiden ZN71A-01 Backplane Board PCB Card SU18A30160 NOP OM-2 µPIBOC-I Used 1
    DIP DIP-505-030 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used 2
    AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working 1
    Brooks Automation TS310A-D524S Indexer Handling Unit Robot Incomplete As-Is 1
    Brooks Automation TS310A-D524S Indexer Handling Unit Robot Incomplete As-Is 8
    Brooks Automation TS310A-D511S Indexer Handling Unit Robot Incomplete As-Is 2
    Ametek 080418 Air Blower Assembly DR303AE9MA NACD8 with Cable Edwards TPU Used 1
    Ametek 080418 Air Blower Assembly DR303AE9MA NACD8 with Cable Edwards TPU Used 1
    Ametek 080418 Air Blower Assembly DR303AE9MA Alcon NACD8 Edwards TPU Used 1
    Eclipse LP64-20 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used Working 3
    Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B 40R Edwards TPU Used 1
    Sanyo Denki P50B05020DCL69 AC Servo Motor BL Super P5 Used Working 1
    Ametek 037147 Air Blower Assembly DR353BR9 Alcon NACD8 Edwards TPU Used 1
    MKS Instruments Exhaust Valve Control Stack Type 260 252 PDR-C-1C Untested As-Is 1
    Trane X13650364-03 Chiller Contol PCB Module 6200-0023-10 6400-0557-02 Used 1
    Advanced Crystal Sciences 6506 Flow Rate Controller Used Working 1
    KoMiCo CHN 300mm Cu Baffle Plate Top Copper Refurbished 2
    Tosoh 100-00528 Quartz Pedestal (214) Sleeve Rev. F2 New Surplus 1
    Mykrolis PVA0AMMR4 AMAT Mirra Mesa 200mm Round 70/216 Planacore Lot of 4 New 1
    Hisaka Works AD07N Double Acting Actuator with G45B-AD07N SCS14A-NW40-25A Used 1
    BTU Engineering 316195VO5 System Microcontroller PCB Card 3161950 Used Working 1
    BTU Engineering 3162281V02 Communication Interface II PCB Card 3162280 Used 1
    BTU Engineering 3161161 System I/O Assembly PCB Card 3162280 Used Working 1
    Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU Used 1
    Cryco 100111 Wafer Loader Operator Interface PCB Assembly 03601-95 No Key Used 1
    Cryco 100111 Wafer Loader Operator Interface PCB Assembly No Key Used Working 1
    Industrial Control bbbbs 100109 Boatloader Motor Driver PCB Module Cryco Used 1
    bbb Tokyo Electron 2980-091523-12 WEE I/O PCB AP9E-0508A-11 TKB3170 ACT12-200 1
    bbb Tokyo Electron Fiber Optic Cable 851 WEE Wafer Edge Exposure Used Working 1
    Bruce Technologies 7351C Operator Panel FRT PNL Interconnect 3161211 BTU Used 1
    Industrial Control bbbbs 100109 Boatloader Motor Driver PCB Rev. B Cryco Used 1
    BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200 Used Working 1
    BTU Engineering 3161181 Video Assembly PCB Card 3161180 Used Working 1
    Kokusai Electric D2E01448 B #1 TIME/PLD Processor Board SAFETY PCB Card Used 1
    Kokusai Electric D2E01448 B #2 TIME/PLD Processor Board SAFETY PCB Card Used 1
    Kokusai Electric D2E01522 Interface Panel Backplane PCB INT-CNBA Used Working 1
    HiTek Power E19297950 High Voltage Power Supply MV2000-151-01 Untested As-Is 1
    Daifuku LNK-3585A Processor Board PCB Card BX8461AW Used Working 1
    Daifuku BCN-3746B Connector Board PCB Card Omron H3FA-A Solid-State Timer Used 1
    bbbbosa Industrial Computing R507-06I0C-200 Backplane Board PCB BPI-06 Used 1
    Daifuku LED-3695A LED Display and Connector Board PCB Card Used Working 1
    Kontron 56100000 SBC Single Board Computer PCB Card 786LCD/ST Daifuku CEL-M10A 1
    Daifuku PS2681-02 Power Distribution Connector Board 2681P1A PCB Used Working 1
    Contec PC-SDD500H SDD Silicon Disk Drive IDE Assembly Daifuku M10A.7.10.19 Used 1
    Krom Schroder 84367720 Burner Control Unit IFS 110IMT-10/2/2N Edwards TPU Used 1
    Hitachi Kokusai Denki 3CD02390 DC/DC Board PCB MTRCPUPS Mikro Sonic Used 5
    Hitachi Kokusai Denki 3CD02411 Resistor Board PCB PA Mikro Sonic Used Working 5
    Hitachi Kokusai Denki 2CD013310 Capacitor Board PCB FiL(1/3) Mikro Sonic Used 5
    Hitachi Kokusai Denki 3CD02380 CPU Board PCB Assembly PMTRCPU Mikro Sonic Used 3
    Hitachi Kokusai Denki 3CD02380 CPU Processor Board PCB PMTRCPU Mikro Sonic Used 1
    Hitachi Kokusai Denki 3CD011210 Adjustment Board PCB OSC Mikro Sonic Used 2
    Hitachi Kokusai Denki 3CD02164 Relay Board PCB CONT2 Mikro Sonic Used Working 5
    Hitachi Kokusai Denki 3CD02233 Adjustment Board PCB P_MASTER Mikro Sonic Used 3
    Hitachi Kokusai Denki 4CD01061 Relay Board PCB CONT Mikro Sonic Used Working 5
    Hitachi Kokusai Denki 3CD02164 Connector Board PCB D-SUB Mikro Sonic Used 5
    Hitachi Kokusai Denki 3CD01876 Resistor Board PCB OUT Mikro Sonic Used Working 5
    Hitachi Kokusai Denki U01200PMQA Display Panel PCB 3CD1062 Mikro Sonic Used 3
    Hitachi Kokusai Denki 4CD01063 Dual Mechanical Dial Counter PCB Mikro Sonic Used 1
    Hitachi Kokusai Denki 3CD1062 Voltage/Current Display PCB Mikro Sonic U01200PMQA 1
    Hitachi Kokusai Denki 3DC01582-1 Adjustment Board PCB PS Mikro Sonic Used 4
    Hitachi Kokusai Denki 3CD02421 Electrolytic Capacitor Board PCB DRIV Mikro Sonic 5
    Nikon 4S586-951-1 Switch Box WX_SW NSR System Used Working 1
    Mydax M1010D Flowmeter Interface/Relay Board PCB Chiller 1M9W-T Used Working 1
    TDK 3EA00E182/A Interface Relay Board PCB hi-pric with Cables Used Working 1
    Pilz 474790 E-Stop Safety Relay PNOZ V PNOZ Series Used Working 1
    Lambda Electronics UAZ1JP 400 Watt Power Supply UltraFlex Series Used Working 1
    Automation Direct D2-04BDC-1 4 Slot PLC Controller DirectLOGIC 205 Koyo Used 1
    AMAT Applied Materials 0040-09961 200mm ESC Chuck 0020-32963 0040-09957 As-Is 2
    AMAT Applied Materials 0020-04277 Flange Insulating Taper 81-57228R Refurbished 1
    Tokyo Keiso P-510-UA-4N-V3-N2-100L/MIN-0.1MPA Purgemeter Flow Meter New Surplus 1
    Nor-Cal Products 3T-NW-50B Tee Fitting NW50 60-159172-00 Reseller Lot of 3 New 1
    Nor-Cal Products 93-01764 Pneumatic Angle In-Line NW50 Used Working 1
    Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Refurbished 2
    Edwards C5164 High Vacuum Adapter Tube Tee ISO63 to ISO80 ISO-K NW25 Refurbished 1
    Edwards C10517670 Vacuum Flexible Bellows NW50 Reseller Lot of 4 Refurbished 1
    Edwards C10517670 High Vacuum Flexible Bellows Stainless NW50 New Surplus 1
    Edwards C5167 High Vacuum Adapter Tee ISO63 ISO-K to NW50 NW25 Refurbished 2
    AMAT Applied Materials 0050-75273 SS Plumbing Line 0050-75274 0050-75275 Used 1
    EKK Technologies M-EKK-2419105 Magnetic Fluid Vacuum Seal A4823-9015KTGE New 2
    Trust C-2027-D01 2 Axis Vector Controller TA-2027 Novellus 63-370393-00 Untested 1
    MKS Instruments 0190-43490 PLC Assembly CVD CIOC AMAT Applied Materials Used 1
    Tosoh 83600-14056-60000V J8R3 Target 300mm 6N Keyed New Surplus 1
    Edwards NRY28B050 CVD Pump Heated N2 Partial Kit Y14102001 New Surplus 1
    Rorze Automation RT130-521-001 X-Axis Robot Linear Track Used Working 1
    Rorze Automation CURT-2102-4 Robot Linear Track Controller with Cables Used 1
    Technos X-Ray Analyzer Command Key Controller Panel with Cable Used Working 1
    Technos X-Ray Analyzer Command Key Console Controller Panel with Cable Used 1
    Asyst Technologies 4002-6633-01 Load Port Door Assembly PCB 3200-1251-03 Used 1
    AMAT Applied Materials 0010-29842 300mm DBR High Temp Belt Wrist Titanium Used 1
    Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used 2
    Brooks Automation 812100090 Communication Board PCB 013501-185-I1 AEZ01 Used 3
    Shinko Electric SBX93-100022-11 Interface Board PCB SRCN1 SBX08-000015-11 Used 1
    Dynax DNX5171 Processor Assembly PCB F104-CPU F104-IO/1 DNX5191 w/Cables Used 1
    Oriental Motor C8741-9212KM Stepping Motor Magnetic Brake VEXTA Used Working 1
    Nor-Cal 22-2843 Adaptive Pressure Controller Inbbblisys APC-001-B.1-01 Used 2
    Nor-Cal 22-2843 Adaptive Pressure Controller Inbbblisys APC-001-B.1-01 Used 1
    Varian Semiconductor Equipment E11326001 D1/D2 PS Controller VIIsta Used Working 1
    TDK TAS-CPU Processor Board PCB Rev. 2.10 TAS300 Load Port Used Working 1
    Bay 8287-03 Lift Motor Assembly Novellus 02-160858-00 Compact 60-152879-00 Used 1
    Rudolph Technologies A19796-001 UV Optics Assembly HC302-2510 A15032 Used 1
    CFT-75 Neslab 395104041507 Refrigerated Recirculator Bent Panels Tested Working 1
    Rhenium Alloys 16-143143 D01 Alloys Quartz Infrared Halogen Lamp 480W ASM New 6
    TRIVAC D16A Leybold 898030 Rotary Vane Vacuum Pump Tested Seized As-Is 1
    TRIVAC D16B Leybold 91265-2 Rotary Vane Vacuum Pump Used Tested Working 1
    AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Rev B 4
    AMAT Applied Materials 0100-01945 Wisperscan Motion Interface PCB Used Working 1
    AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Rev C 1
    AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Used 1
    Baldor FD2A05TR-RN23 Servo Control FlexDrive 105A-230/24V-RES-23 Used Working 1
    NSK ESA-B014T25-21 Servo Drive Motion Controller Used Working 1
    NSK ESA-B014T25-21.1 Servo Drive Motion Controller Used Working 1
    Carl Zeiss 44 44 62 DIC Slider Microscope bbbbbbive Epi PLANAPO 50x/0.90 Used 1
    Carl Zeiss 44 44 89 DIC Slider Microscope bbbbbbive Epi PLANAPO 100x/0.95 Used 1
    Power-One LWN 2660-6 AC-DC/DC-DC Converter Convert Select 240 Used Working 1
    KLA-Tencor Pneumatic Vacuum Control Unit Omega FMA-1605A Quantox XP Used Working 2
    PCP Peninsula Control Panels 51-0265 Power Supply Rev. 0C KLA-Tencor Quantox 1
    CTI-Cryogenics 8116143G001 On-Board 8F Cryopump Tested Working Unlisted 1
    Invax Technologies 02425-011 Electrostatic Chuck 300mm PVD Upgrade New Surplus 2
    bbb Tokyo Electron 200mm Cup Wash Disc ACT12 Used Working 1
    PTI Progressive Technologies 12612G01 Exhaust Sentry 1000 Damaged Used As-Is 1
    Thermo Neslab HX75 Chiller BOM 386105021704 Not Working 1
    AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working 9
    AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working 1
    AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working 1
    Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B 1
    Nikon Interferometer Board LCLIUIF Working 4S018-168 Nikon NSR-S204B 1
    Nikon 4S017-866-B Interferometer STG-IF PCB STGLIUIF Used Working 1
    Nikon 4S017-866-B Interferometer STG-IF PCB STGLIUIF Used Working 1
    PCP Peninsula Control Panels 51-0265 Power Supply Rev. C KLA-Tencor Quantox 1
    PCP Peninsula Control Panels 28-0196 Power Supply KLA-Tencor Quantox Used 2
    Nihon Koshuha HFS-010A-H-3 EC2 Antenna Bias RF P/S Generator Hitachi M-712E 1
    Alcabbb 2012AC Dual Stage Rotary Vacuum Pump 1091045400 No Tag Tested Working 1
    Alcabbb 2012AC Dual Stage Rotary Vacuum Pump 1101101416 Used Tested Working 1
    Fortrend F8025 Automatic Wafer Transfer Machine Used Tested Working 1
    Oriental Motor CSD2109-P Compact 2-Phase Driver Board PCB VEXTA Used Working 1
    CTI-Cryogenics 0190-19395 IS-1000 Compressor HV AMAT Applied Materials Tested 2
    Kokusai Electric D21806 Brake Interface CVD Board PCB Assembly Vertron Used 1
    Kokusai Electric D2E01444 Interface Panel PCB CNNT14-A0 DMCU8 00-10 Vertron Used 1
    Kokusai Electric D3E01373C Processor PCB Card DMCU8 PLMDRV7/A3 Vertron Used 1
    Opal PCB Control Card Assembly 30612510000 SBC VMIVME-7588-787 AMAT VeraSEM 1
    Opal PCB Control Card Assembly 50312510000 SBC VMIVME-7588-787 AMAT VeraSEM 3
    Mitsubishi P8TB-TE Industrial Robot Teaching Pendant BKO-FA0517H01 Spare 1
    BL Autotec QC-20C-S44 Robot End-Effector Exchange System QUICK-CHANGE QC-20C 2
    BL Autotec QC-20C-S44 Robot End-Effector Exchange System with Rail Guide QC-20C 1
    Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Inspection System Untested 1
    KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21531 Spare 1
    KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21541 Spare 1
    KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21551 Spare 1
    KV Automation 4022.486.18102 Vacuum Output Sensor Board PCB VAC TSU 2W Spare 2
    KV Automation 4022.486.18071 Vacuum Output Sensor Board PCB CLAMP/FREE Spare 1
    KV Automation 4022.486.18071 Vacuum Output Sensor Board PCB CLAMP/FREE Spare 1
    KV Automation 4022.486.18121 High Flow Cleaning Board PCB 2W 322A5302 Spare 1
    KV Automation 4022.480.62792 Sensor Board PCB  AIRB.CTR VAC TSU WH AT Spare 1
    KV Automation 4022.480.62852 Gas Sensor Board PCB AIRB.CTR GAS TSU WH AT Spare 1
    KV Automation 4022.486.21531 Gas TSU Manifold Assembly 4022.480.62841 Spare 1
    KV Automation 4022.486.21541 Vac TSU Manifold Assembly 4022.480.62701 Spare 1
    Chuo Precision Industrial X-Axis Manual Stage Assembly LS-112W LS-912W LV-612 1
    KV Automation 4022.486.21551 WH-C/F Manifold Assembly 4022.480.62701 Spare 1
    Panasonic MSD023A1Y Servo Driver Unit PCB Card 200V 200W Working Spare 1
    Panasonic MSD5AZA1Y Servo Driver Unit PCB Card 100/200V 50W Working Spare 1
    Panasonic MSD3AZA1Y Servo Driver Unit PCB Card 100/200V 30W Working Spare 1
    bbb Tokyo Electron RL06EX-2 Relay Board PCB ACT12 System Working Spare 1
    Sierra Safety Technology DCR1-S 1 Optical Flame Detector DNS FC-3000 Spare 1
    Digiop S0608010250T 8-Channel DVR Workstation Optiplex 745 DNS FC-3000 Spare 1
    Electroglas 253255-001-C Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is 1
    Electroglas 253255-001-B Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is 1
    Electroglas PSM-C Power Supply Module with PDS 4085x Horizon 200mm Working Spare 2
    Edwards D37280700 Pump Display Module Terminal Controller Damaged As-Is 1
    bbbbbb Laser Technology Solutions 24744-6 Wafer bbbbbbbbing System 2130-00 Spare 1
    HD Hokuto Denko HR-201 Dynamic Electrode Assembly Untested As-Is 1
    HD Hokuto Denko HZ-CPU101-27 CPU Processor Board PCB Card HZ-3000 Working Spare 1
    HD Hokuto Denko HZ-FG101-31 HZ-FG Processor Board PCB Card HZ-3000 Working Spare 1
    HD Hokuto Denko HZ-PGS101B32 Processor Board PCB Card HZ-PGS101C23 HZ-3000 Spare 1
    HD Hokuto Denko HZ-PGS101A3 CPU Processor Board PCB Card HZ-3000 Working Spare 1
    HD Hokuto Denko HABF501-A2 Heat Dispenser Board PCB HZ-3000 Working Spare 1
    HD Hokuto Denko HZ-BASE1018 Backplane Connector Board PCB HZ-3000 Working Spare 1
    Cosel G Series Power Supply GT3W and G2 5V2A Reseller Lot of 2 Working Spares 1
    HD Hokuto Denko 230130 AS-200 Main CPU Board PCB Working Spare 1
    HD Hokuto Denko 230131 AS-200 Sampler I/O Board PCB Working Spare 1
    HD Hokuto Denko D230330 Motor Control Board AS-200 PCB Working Spare 1
    HD Hokuto Denko D232870 Station Change Board AS-200 PCB Working Spare 1
    HD Hokuto Denko D850536 Operator Interface Keypad LCD Panel PCB Assembly AS-200 1
    HD Hokuto Denko 230132 AS-200 Switch Board Keypad PCB Assembly Used Spare 1
    HD Hokuto Denko C276120 Sample Port COM555 MAIN PCB Assembly D232880 AS-200 Used 1
    HD Hokuto Denko D230133 DC Connect Board PCB D232502 AS-200 Used Spare 1
    Densi-Lambda ZWS Series Open Frame Power Supply Lot of 2 ZWS50-15/J ZWS30-5/J 1
    Densi-Lambda ZWS75PF-12 Open Frame Power Supply ZWS Series Reseller Lot of 2 1
    Ampere M-8014TE-C90196A Communication Board PCB CAT6 Hokuto Denko AS-200 Spare 1
    Ampere M-8014TE-C90196A Communication Board PCB RS232 Hokuto Denko AS-200 Spare 1
    HD Hokuto Denko C230450 MP Drive Board PCB C233280 AS-200 Working Spare 2
    Dolch Computer Systems 21-0E01-0030 ISA Video PCB Card 22-1001-0150 Spare 1
    bbb Silicon Valley Group 99-80203-01 RS422 Communications PCB Rev. N 90S Spare 1
    Texas Microsystems 23374 Greyhound1 SBC Single Board Computer PCB Card bbb 90S 1
    HD Hokuto Denko B-200B Buret Sampler 5ml Assembly PCB C232890 AS-200 Working 5
    HD Hokuto Denko Buret Micro Pump Assembly Denso HP480FAE AVR-2312-318E AS-200 6
    DNS Dainippon Screen LPD Heater Overheat Detection Module FC-3000 Working Spare 1
    DNS Dainippon Screen TANK#3 Phos Temperature Controller Cooling Tank FC-3000 1
    DNS Dainippon Screen TANK#4 Hot Rinse (H202) Temperature Controller Bath FC-3000 1
    Kokusai Electric CX1231 Controller Module Rev. 1B Vertron III DD-803V Spare 1
    DNS Dainippon Screen CNCC Power Supply Switch Module FC-3000 Working Spare 1
    DNS Dainippon Screen TANK#3 Phos Lamp Heater Controller FC-3000 Working Spare 1
    DNS Dainippon Screen TANK#3 Phos Crystal Heater Controller FC-3000 Working Spare 1
    DNS Dainippon Screen TANK#5 Phos Lamp Heater Controller FC-3000 Working Spare 1
    DNS Dainippon Screen TANK#5 Phos Crystal Heater Controller FC-3000 Working Spare 1
    DNS Dainippon Screen MFI PLC Control Module Mitsubishi Q63P MELSEC FC-3000 Spare 1
    DNS Dainippon Screen MEK PLC Control Module Mitsubishi Q63P MELSEC FC-3000 Spare 1
    Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working 2
    Millipore WCDP025L1 Wafergard Photoresist Chemical Dispense Pump Working Spare 8
    Millipore WCDP025L1 Wafergard Photoresist Chemical Dispense Pump Lot of 4 Spare 1
    bbb Silicon Valley Group 99-45452-01 Controller Exhaust Flow 99-45982-01 Spare 1
    Fuji Seiki 1092801 Pneumatic Throttle Valve NW100 ISO-LF QF100 Working Spare 1
    Det-Tronics W867C3004 Ultraviolet UV Infrared IR Test Lamp W867 Untested As-Is 1
    IDI Integrated Designs 2-102-037 Chemical Assist Module Double Stack CAM-E-2S 2
    IDI Integrated Designs CAM-E-2S Photoresist Chemical Assist Module Working Spare 2
    IDI Integrated Designs 2-102-037 Chemical Assist Module CAM-E-2S Working Spare 4
    Grayhill 70GRCK8-HL 8-Channel I/O Module Relay Rack 70G-IDC5B Assembly Spare 1
    Millipore 99-41122-01 Stainless Steel Photoresist Solvent Filter Housing Spare 2
    CTI-Cryogenics 8033167 CRYO-TORR 8 Cryopump High Vacuum Pump Untested As-Is 3
    CTI-Cryogenics 8033168 CRYO-TORR 8 Cryopump High Vacuum Pump Untested As-Is 1
    KLA-Tencor 547220 Keyboard Breakout AIT2 Board PCB Rev. AA Used Working 5
    KLA-Tencor 547220 Keyboard Breakout AIT2 Board PCB Rev. AA Used Working 1
    AMAT XR80 Implanter Magnet Controller 9090-00086 ITL 1
    Ultrapointe 001003T Fast Z Controller PCB Board Rev.A CRS AB1 2000 Used Working 2
    Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-ABI-2000 Used 2
    AMAT XR80 Implant Gap Servo Controller  9090-00097 ITL 1
    Nikon NSR S204B RL-LIBHT2 PCB 4S018-679 working 3
    Nikon NSR S204B 4S007-609 PPD-EXBODY PCB Board Working 3
    Nikon NSR S204B 4S007-855 WL3CR PCB Board Working 5
    Nikon NSR S204B 4S018-568-A WL3PNL4 PCB Board Working 5
    Nikon NSR S204B Yaskawa XU-DL1600W30 Driver Board Working 2
    Nikon NSR S204B 4S018-169 ALG-INF PCB Interface Controller Board Working 2
    Nikon NSR S204B 4S005-204 PPD-LD PCB Board Working 2
    Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working 2
    Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working 1
    Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working 6
    Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working 1
    Nikon NSR S204B Asahi 4S014-160A D2810 Linear Pulsemotor Cont. Board Working 4
    Nikon NSR S204B 4S007-876 SHRINC-INTF-3 Interface Controller Board Working 1
    Nikon NSR S204B 4S005-206 PPD-CCD PCB Board Working 2
    Nikon NSR S204B 4S005-206 PPD-CCD PCB Board Working 1
    Nikon NSR S204B 4S007-946 OPD-I/F-S PCB Board Working 4
    Nikon NSR S204B 4S007-580 PPD-A/D PCB Board Working 1
    Nikon NSR S204B 4S018-531-1 WL3MTR-H6 PCB Board Working 4
    Nikon NSR S204B RIGG 2214 SDP Video Grabber 001052 Rev.B PCB Board working 1
    Komatsu KDP1320LE-1 Control Panel Nikon NSR 7821-40-3016 Used Working 1
    Komatsu KDP1320LE-1 Control Panel Nikon NSR 7821-40-3016 Used Working 4
    Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working 2
    Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working 1
    Airpot Actuator S160P 455 X 550 KLA-Tencor CRS-ABI 2000 Working 1
    GSI Laser Module 000-3008528 KLA-Tencor CRS-ABI 2000 000-30011003 Used 1
    Ultrapointe 001049T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working 1
    Nikon 4K177-955-1 Stepper Transfer Robot NSR-S204B Working 1
    Nikon Stepper Controller Z-X3-B4102RU Nikon NSR S204B Working 1
    Nikon Power Controller RRW-07 Nikon NSR S204B Working 4S587-244 3
    Nikon NSR S204B Robot Elevator Module Working 2
    Nikon NSR S204B Robot Elevator Module Working 1
    Nikon NSR S204B Wafer Mask Reticle Indexer Working 1
    Mitutoyo ST320 Linear Scale Assembly Nikon NSR S204B Working BLECX3 4S013-313 1
    KLA-Tencor CRS-ABI 2000 Camera Lens Filter Assembly 000056 Rev. 2 Working 3
    KLA-Tencor CRS-ABI 2000 Camera Lens Filter Assembly 002001 Working 237500-412 1
    Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B 2
    Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B 1
    Spectrum VME Control Board FRU 600-90072 Working 260-00698 R110 Nikon NSR-S204B 2
    Spectrum VME Control Board FRU 600-90072 Working 260-00698 R110 Nikon NSR-S204B 1
    Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A20092 2
    Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A20092 1
    Agilent Z4208A Controller VMEbus PCB Card HP E1845-60001 Nikon NSR-S204B Used 2
    Agilent Z4208A Controller VMEbus PCB Card HP E1845-60001 Nikon NSR-S204B Used 1
    Nikon NSR S204B Matrox Meteor II Multi-Channel 751-02 Rev.A PCB Board working 1
    Nikon NSR S204B Equinox Multiport Serial I/O Adapter SST-4/8P PCB Board working 1
    KLA-Tencor CRS-ABI 2000 Ultrapointe Corp. SDP Computer I/F Board 001022 working 1
    Nikon NSR S204B Wafer Loader Indexer working 2
    Nikon NSR S204B Wafer Loader Indexer working 2
    Nikon NSR S204B SEA241A 4S587-625 AVIS2 ERG AMP Working 1
    Brooks Automation TLG-L1-1000-S0-00EB Transponder LF80 Set with ANT-2K15 Spare 1
    Cosel LEP240F-48 U Switching AC/DC Power Supply Reseller Lot of 3 Working Spare 1
    Globe Motors 403A918 LP Shuttle Load Port Motor Assembly Brooks 129399 Spare 3
    Globe Motors 537A551 LP Main Load Port Motor Assembly Brooks 6200081 Spare 3
    Ametek GM8223D294-R4 LP Latchkey Motor Assembly ES-500-157-N-D-D-D-B Spare 2
    Haydon E43H4B-2.33-013 LP Main-J12 Linear Actuator Reseller Lot of 2 Working 1
    Haydon LR35HH4J-2.33-012 LP Shuttle-J1 Stepper Linear Actuator Assembly Working 3
    VAT 96603-01 Pendulum Plate 012-009857-1 Series 650 Copper Cu Exposed Spare 1
    Brooks Automation 148299 Shuttle IV Board PCB Vision LPM Load Port Working Spare 3
    Brooks Automation 134335 Keylatch IV PCB with 173227 Vision LPM Load Port Spare 1
    Techprint 1-603680 LED Board PCB Brooks 112749 Load Port VISION Working Surplus 1
    VAT 65048-PH52-ADU1 Pendulum Control & Isolation Gate Valve 93376 Working Spare 1
    SMC 60-151975-00 Pneumatic Vacuum Slit Valve XGT300-30-1A-X1 Working Spare 3
    VAT 02010-BH44-AKG1 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare 1
    VAT 02110-BA44-AUM1 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare 3
    VAT 02010-BA44-1004 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare 5
    VAT 02010-BA44-1004 Pneumatic Rectangular Wafer Transfer Valve No Solenoid 2
    VAT MONOVAT Rectangular Wafer Transfer Valve 02010-BA44 02110-BA44 Unmarked 3
    VAT MONOVAT Rectangular Wafer Transfer Valve 02010-BA44 02110-BA44 Large Gate 1
    HVA High Vacuum Apparatus 82219-0209QXH Pneumatic Wafer Transfer Valve Spare 1
    VAT MONOVAT Stainless Wafer Transfer Valve 02010-BA44 02110-BA44 Unmarked Spare 3
    SMC 60-151975-00 Pneumatic Vacuum Slit Valve XGT300-30-1A-X1 No Gate Spare 1
    Asyst Shinko VHT5-1-1 OHV Overhead Vehicle FOUP Transport Drive Assembly Spare 1
    bbb Tokyo Electron 2L81-050066-32 Power Supply PCB Card TYB512-3/IOMT-LF Spare 4
    bbb Tokyo Electron 3D81-000065-V1 Interface Board PCB Card TYB61L-1/MAGGAP Spare 2
    bbb Tokyo Electron 2L81-050133-V1 Timer Board PCB TAB35F-1/HTIL Working Spare 5
    Luxtron 11-14259-01 Thermo Board PCB bbb Tokyo Electron 2L51-000013-V1 Spare 12
    Luxtron 11-14259-01 Thermo Board PCB bbb Tokyo Electron 2L51-000004-V1 Spare 2
    RKC Instruments THV-1PZ030-8*HN-9 Single Phase Power Control Unit Working Spare 21
    Yaskawa Electric SGDM-02ADA 200V Servo Drive SERVOPACK Ver. 0900F Working Spare 8
    RKC 2L14-000007-V1 FAREX SR Mini System H-PCP-J-341-D*AD-NNN H-TIO-J-F501-8*NN 4
    RKC 2L14-000004-V2 FAREX SR Mini System H-PCP-J-341-D*AD-NNN H-TIO-J-F501-8*NN 2
    Mitutoyo PSU15 Power Supply Unit No Hatch Cover Working Spare 1
    KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21581 Spare 1
    KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21571 Spare 1
    KV Automation 4022.486.18091 Pneumatic PCB Card PNEUMASEAL ON/OFF 2W Working 2
    KV Automation 4022.486.21571 Pneumatic Manifold Unit UH-P5 Working Spare 1
    KV Automation 4022.480.62671 Pneumatic Manifold Unit WH GRP WS-1/RS1 Working 1
    KV Automation 4022.480.62732 Pneumaseal Pressure Control Unit WH PU Working 1
    Optonics 10-101930-00 Main Controller Board PCB ES-II PLUS Working Spare 1
    Credence Systems 10-107246-00 ES Install Shunt Board PCB Optonics Working 1
    Optonics 20-101359-00 LED Status Board PCB ES-II Credence Systems Working Spare 1
    Nikon NSR S204B Yaskawa CLSR-4590-N2CD Linear Motor Controller working 1
    Ohkura Electric GS2020A1NZ1 Thyristor GS2000A Series Working Spare 1
    Tokyo Keiso SFC-M 4-Channel Flowmeter Signal Converter bbb Lithius Working Spare 1
    bbb Tokyo Electron Operator Display Panel P-8 Fully Automatic Wafer Prober Spare 1
    AMAT Applied Materials 9090-01168ITL Chuck Power Supply PX32J Rev. C Spare 1
    AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X No Batteries 1
    Axcelis Technologies 697221 Power Supply GEMINI Working Spare 1
    Yaskawa XU-DV0804V Linear Motor Controller working Nikon NSR S204B 1
    Digi (1P)50001008-01 D SCSI Terminal Server STS 1008 Working Surplus 1
    Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Untested As-Is 1
    ICT 4916171 PMT+PRE AMP In-Lens Detector AMAT Applied Materials SemVision cX 4
    Plasma-Therm 4480159501 THNTD PCB Board PCB Rev. D Clusterlock 7000 Working 1
    Oriental Motor BXM6400-B1 Brushless DC Motor with Gear Head 6GH5K-D1 Spare 1
    ASML 4022.470.4321 Interface Module QPAB bbb Silicon Valley Group Working Spare 1
    Sunx SF1-A16 Light Curtain Emitter and Receiver Set SF1-A Series Working Spare 2
    Hermos TLG-I1-1000-S0-00-EB Transponder Set Antenna ANT-ID2-INFIXR6M No Cover 15
    AMAT Applied Materials 0190-24484 Transponder Set with Antenna 0190-10813 Spare 14
    Brooks Automation TLG-I2-AMAT-R1 Transponder Set with AMAT Antenna 0190-10813 5
    Yaskawa XU-DL1910 Motor Controller Nikon NSR S204B working 2
    AMAT Applied Materials 0190-22570 Transponder Set with Brooks Antenna ANT-2K15 5
    Brooks Automation TLG-I2-AMAT-R1 Transponder Set with Antenna ANT-2K15 Spare 4
    Hermos TLG-I1-AMAT-R1 Transponder Reader with Brooks Antenna ANT-2K15 Spare 4
    Hermos TLG-I1-AMAT-R1 Transponder Reader with Antenna ANT-2K15 Working Spare 5
    Hermos TLG-I1-AMAT-R1 Transponder Reader ASC-I1 TLG-RS232 Working Spare 2
    Varian 013-4037-1 Interferometer 13-3948 KBr Beamsplitter Assembly Untested 1
    RKC Instruments 6-39-04458 Resistance Thermometer AWPFA RBS-8244-5 Lot of 2 New 4
    Nihon Koshuha HFS-010A-H-2 EC2 Antenna Bias RF P/S Generator Hitachi M-712E 1
    AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC Working Spare 1
    AMAT Applied Materials 0040-03612 Body Assembly Copper Cu Exposed Refurbished 1
    Sony DPR-LS23 Control Board 4S019-117 Working 1-681-861-12 Nikon NSR-S204B 7
    AMAT Applied Materials 0021-15605 300mm Upper Exhaust Shield Copper Refurbished 1
    AMAT Applied Materials 0190-22570 Transponder with Antenna 0190-10813 Spare 1
    Novellus Systems 02-107939-00 Industrial Computer PA7800 COMP P166/64 24V Spare 1
    Hamamatsu Photonics C8849-13 Power Supply for Zenon and Mercury-Zenon Lamp Spare 2
    Shimadzu EI-R04M (T1) Turbomolecular Pump Controller TMP Turbo 2L11-000004-V1 2
    Shimadzu EI-D3403M (T1) Turbomolecular Pump Controller TMP Turbo 2L80-000684-V1 2
    Edwards D37237000 Vacuum Pump Display Terminal Controller with D37207602 1
    IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT 66230 Hrs. Tested Working 1
    IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT 44136 Hrs. Tested Working 1
    Galil Motion Control DMC-8240-F 4 Axis Female PCB Card Equipe 2002-0120 Working 1
    Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033 2
    Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033 1
    Equipe Technologies 2002-0142-022 Robot I/O Control Board PCB Card Spare 1
    Rudolph Technologies 300mm F30 Advanced Macro Defect Inspection System Working 1
    Shinko Electric BX80-070280-11 Robot SBX92100217 bbb T-3044SS Missing Arm As-Is 1
    Yaskawa XU-RC350D-C51 Dual Arm Wafer Handling Robot with Missing Arms As-Is 1
    Sinfonia BX80-000180-V1 Dual Arm Wafer Handling Robot with Missing Covers As-Is 1
    Mitsubishi RV-E14NHC-SA06 Robot Set with Controller CR-E356-S06 and End Effector 2
    Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A 2
    Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A 1
    Nikon BD PlanApo 150/0.9 Microscope bbbbbbive 210/0 OPTISTATION 3 Used Working 6
    Nikon BD PlanApo 150/0.9 Microscope bbbbbbive 210/0 OPTISTATION 3 Used Working 1
    Nikon BD Plan 20/0.4 Microscope bbbbbbive 210/0 OPTISTATION 3 Used Working 5
    Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B 2
    Nikon BD Plan 10 0.25 Microscope bbbbbbive 210/0 OPTISTATION 3 Used Working 4
    Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working 1
    Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working 5
    Nikon NSR S204B LDV Tank Assembly working 3
    Motorola MVME 147-023 Single Board Computer PCB Card bbb 3286-000516-12 P-8 Used 2
    Motorola MVME 147-023 Single Board Computer PCB Card bbb 3286-000516-12 P-8 Used 5
    Cognex Visioncard VME Board 3281-000124-1 Working bbb P-8 2
    bbb ACT 12 300mm Rinse Bath CT2910-304205-11 working 4
    bbb ACT 12 300mm Motor Flange Assembly CT2985-490048-W8 MTR. FLANGE ASSY working 5
    bbb Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working 1
    bbb Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working 1
    bbb Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working 1
    bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 2
    bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 1
    bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 1
    bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 2
    bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 5
    bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 1
    bbb ACT12 300mm 2910-205326-11 Base Under Cup 2910-205327-11 Upper Cup Base 4
    bbb ACT 12 CT2985-406661-W3 Left Arm Nozzle Assembly CT2986-401651-W2 working 1
    bbb Tokyo Electron 3281-000148-13 PCB LST-2 3208-000148-11 P-8 Working 1
    bbb Tokyo Electron 3281-000080-12 I/O PBC TVB9003-1/316 Used Working 2
    bbb ACT 12 CT2985-406653-W3 Right Arm Nozzle Assembly CT2986-401643-W2 working 1
    bbb Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I 3208-000131-11 Used 6
    bbb Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I 3208-000131-11 Used 2
    bbb ACT 12 300mm Develop Process Station Nozzle Bath Assembly CT2985-412389-W1 3
    bbb Tokyo Electron 3281-000085-11 PCB Pincette Base 3208-000085-11 P-8 Used 3
    bbb Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used 5
    bbb Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used 1
    bbb Tokyo Electron 3208-000083-11 PCB Indexer Interconnect 3281-000083-11 Used 2
    Bio-Rad Y5301267 AIMS DC Servo Board PCB Card Quaestor Q7 Used Working 1
    bbb Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used 1
    bbb Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used 1
    bbb Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used 3
    bbb Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used 1
    Sanyo Denki PMM-BD-5705-1 Servo Driver Pentasyn bbb Tokyo Electron P-8 Used 10
    bbb Tokyo Electron 2985-406656-W1 DEV SCAN (12R) ASSY 300mm Right Used 1
    bbb ACT 12 300mm Develop Process Station Air Valve Assembly Untested AS-IS 1
    RadiSys 002-1-23158-100 PME SIO-1 PCB Card Bio-Rad Quaestor Q7 Used Working 1
    bbb Tokyo Electron 2985-413485-W1 300mm Dev. Cup Assembly 2985-413522-W1 Used 1
    bbb ACT 12 300mm CT2985-406657-W1 DEV SCAN (12L) ASSY Motor Scan Assembly (Left) 1
    bbb ACT 12 300mm CT2985-415077-W2 SPIN SHUTTER (12-L) ASSY working 1
    bbb ACT 12 300mm SMC Air Cylinder CT024-012243-1 CT024-012244-1 Pair working 1
    AMAT Applied Materials 0100-11003 Stepper Drive Board Rev. C Working P5000 4
    RGI Raster Graphics Inc 6000700-09A VME Board RG700 Quaestor Q7 Used Working 2
    AMAT Applied Materials 0100-70019 Controller Distribution WPS Board Rev. A Used 1
    Bio-Rad 8946-001 VME/Overlay Interface PCB Quaestor Q7 Used Working 1
    bbb ACT 12 300mm set of 4 sliding doors Develop Process Station Tokyo Electron 1
    bbb ACT 12 300mm Cup Base Assy. CT2985-461034-W1 working CT2985-461032-W1 2
    bbb Tokyo Electron 2985-469941-W1 Process Block Robotics Arm X-Axis ACT12 Used 1
    bbb Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 3
    bbb Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 42
    bbb Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 1
    bbb Tokyo Electron CT2986-401661-W4 300mm ACT Develop Process Station Assembly 1
    bbb ACT 12 300mm CT2985-403941-W1 Rinse Resist Arm Assembly working 1
    Nikon 2S020-061 Optistation PCB 2S701-009 Used Working 2
    Nikon 2S020-061 Optistation PCB 2S701-009 Used Working 2
    Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working 2
    Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working 7
    KLA-Tencor CRS1010 Laser Power Board 0046591-000 1
    Nikon PSM-11520 Optical Fiber Light Source Optistation 3 200mm Used Working 3
    Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working 1
    Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working 5
    Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working 1
    Nikon 200mm Wafer Handler Assembly Optistation 3 Inspection System Used Working 1
    Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 14
    Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 14
    Optem International 29-60-02 Fiber Optic Illumination Source Lot of 4 Used 1
    Nikon Optistation 3 200mm Keyboard Console 2S070-031 working 2S700-386 2
    Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working 2
    Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working 2
    Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working 1
    Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working 3
    LAM Research 4420 Tri-Convectron Interface Assembly 853-017620-001 working 1
    LAM Research 4420 Tri-Convectron Interface Assembly 853-017620-001 working 2
    LAM Research 4420 Solenoid Tray Assembly 853-013610-001 Rev.C  working 2
    Force Computers SASI Board 810-017035-001 Rev. B  Working LAM 4420 880-12536-101 2
    Lam Research 810-017031-2 ADIO PCB Board Rev. 2 Rainbow 4420 Used Working 4
    Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working 1
    KLA-Tencor CRS1010 Lens Filter Assy. 000056 working 12
    Lam Research 810-017012-001 Heartbeat PCB Board Rev. D Used Working 1
    Force Computers 880-12535-101 VME PCB Card Lam 810-017038-002 4420 Used Working 2
    LAM Research 4420 Stepper Motor Driver Board 810-017016-1 Rev. B Working 7
    KLA-Tencor 001003T Fast Z Controller PCB Rev. 06 CRS1010 Used Working 6
    LAM Research 4420 Gap Drive Control Assembly 852-017350-003-3-C508 working 1
    LAM Research 4420 852-017500-003-6-C220 CRT-Keypanel Chassis Assembly Working 1
    LAM Research 4420 852-017500-001 CRT-Keypanel Chassis Assembly working 1
    Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working 2
    Lam Research 715-130092-008 End Effector 200mm Wafer Rev. 2 Used Working 2
    LAM Research 715-130080-008 200mm End Effector Rev. BC Used Working 1
    LAM Research 4420 852-011201-581-E1-230 Exit Loadlock Bottom View Assembly as-is 1
    LAM Research 715-12165-8 B 200mm End Effector Used Working 1
    LAM Research 715-12165-8 B 200mm End Effector Used Working 1
    AP Tech Valve AP1001S Working 839-014929-800 Rev. D LAM 4420 2
    LAM Research 4420 852-0112200-500-E1-230D 853-012123-500-E1-230 Harmonic Arm 1
    AP Tech Valve AP1001S Working 839-014911-901 Rev. C LAM 4420 1
    Lam Research 715-011204-001 Harmonic Arm 852-011201-001-D Rainbow 4420 Used 1
    LAM Research 4420 852-0112200-003-E 853-012123-001-G-230S Harmonic Arm Drive 1
    LAM Research Front EMO Box Assembly 852-017152-003 Rev. G Working  LAM 4420 2
    MKS Instruments 100997144 Isolation Valve Lam Research 839-013521-001 4420 Used 1
    LAM Research RF Switch Box Assembly 853-017163-101 Rev. 3 Working  LAM 4420 1
    LAM Research 4420 839-013514-001 Weldment, Exit Load Lock Vacuum Man. working 2
    LAM Research 4420 839-013513-001 Weldment, Entrance L.L. Vacuum Manifold working 2
    Lam Research 853-017163-001-G-262B RF Switch Box 4420 Rainbow Used Working 1
    KLA-Tencor CRS1010 Camera Lens Filter 002001 3
    LAM Weldment He Line Manometer Adapter 839-029227-100 Rev. C Working LAM 4420 1
    Millipore CMLA-21 Capacitance Diaphragm Gauge Lam Research 853-017643-003 Used 1
    Lam Research 713-013236-001 Outer Gate Exit Safety Cover Rainbow 4420 Used 2
    Lam Research Etcher Shuttle Assembly Cover 4420 Rainbow Used Working 2
    LAM 4420 Manometer Cover Box 714-013234-001 Rev. C Working 2
    LAM 4420 Left & Center RF Cover Plate 714-013218-001 Lot (4) 714-013219-001 1
    LAM 4420 Heated Interconnect Manifold Assembly 853-013575-002 Working 2
    LAM Research 4420 Upper Chamber Assembly Parts 839-011516-001 working 1
    GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used 7
    Lam Research 852-011061-503-C-CHMAIN Lower Main Chamber 4420 Etcher Used As-Is 1
    LAM Research 4420 Upper Seal Plate Assembly 853-020936-001 working 1
    LAM Research 4420 Upper Chamber Assembly Parts 853-011117-001 010250C1-AO01E 1
    bbb Tokyo Electron MA02702 LCD PCB Board ORN-3B ACT12 Used Working 19
    Tylan General CDL-21S06 Pressure Sensor working 2
    Nikon Optistation Microscope Turret As Is 1
    KLA-Tencor 001003 Fast Z Controller PCB Rev. 5 CRS1010 Used Working 3
    bbb Tokyo Electron 3281-000148-12 PCB LST-2 Board 3208-000148-11 Used Working 4
    Nikon PCB Control Board SH-SNS 4S018-907 Used Working NSR-S307E 3
    Asahi Kogyosha F930 79 44 (3) I/F Interface Board PCB 36020230 Nikon NSR Used 1
    Asahi Kogyosha F930 79 44 (3) I/F Interface Board PCB 36020230 Nikon NSR Used 1
    Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used 1
    Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used 1
    Nikon PCB Control Board 4S013-481 Used Working NSR-S307E 1
    Nikon Control Board PCB 4S019-058 IU-CTRL1 Used Working NSR-S307E 1
    Nikon Control Board 4S018-922-IU-DRV1-X4P Used Working NSR-S307E 1
    Asahi Kogyosha 36020167 Chamber I/F Board PCB Nikon NSR-S307E Used Working 2
在线询盘/留言
  • 免责声明:以上所展示的信息由企业自行提供,内容的真实性、准确性和合法性由发布企业负责,本网对此不承担任何保证责任。我们原则 上建议您选择本网高级会员或VIP会员。
    企业信息
    厦门翔麟奕科技有限公司
    会员级别:试用会员
    ------------ 联系方式 ------------
    联系人:袁双(小姐)
    联系电话:0592-18250824218
    联系手机:18250824218
    传真号码:0592-18250824218
    企业邮箱:1070597493@qq.com
    网址:
    邮编:361102
    推荐供应
    0571-87774297